Menu

Used Semiconductor Equipment

3,191 results
1

-Has CE mark -Still installed and operational -Can be demoed by appointment -It is scheduled to be released fo Year(s) : 2004 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

- Still installed in the cleanroom - The tool is connected in-line with a Nikon S204B scanner - The direction Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
0

Nitride CVD Furnace Version: 300 mm Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Automated Photoresist Coater Version: 150 mm/200 mm Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
0

Vertical Furnace, Fast Thermal Oxidation process Version: 200 mm Vintage: 01.06.2001 CONTROLLER TYPE TS4000Z Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Automatic Prober Version: 300 mm Vintage: 01.06.2005 Inspection of these probers is welcomed by appointment. Year(s) : 2005 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Photoresist Coater and Developer Track Version: 300 mm Vintage: 01.06.2007 -Has CE mark -Still installed an Year(s) : 2007 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Polysilicon Dry Etch Chamber Version: 300 MM Vintage: 01.06.2012 TEL Tactras RLSA Etch Chamber TactrasTM RL Year(s) : 2012 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Version: 200 MM Vintage: 01.06.2013 Deinstalled in October 2021, warehoused. Can be inspected by appointment Year(s) : 2013 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
0

Spin Rinse Dryer Version: 100 mm Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
0

Sputtering System Version: 100 mm 3 Target mini-quantums RF Etch VIPS (Vacuum Isolated Processing Station) Re Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Automated Defect Inspection Version: 150 mm/200 mm Automatic Shut down in Fab. Needs to be removed in the ne Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

High K Metal CVD and ALD system, NiOx, HfOx process Version: 300 MM Vintage: 01.06.2012 Tokyo Electron Tria Year(s) : 2012 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

EFEM Unit Only Version: 300 mm Vintage: 01.07.2006 DEINSTALLED AND WAREHOUSED CAN BE INSPECTED BY APPOINTMEN Year(s) : 2006 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
0

Manufacturer: TES Plasma-Enhanced CVD system Version: 300 mm Vintage: 01.05.2010 De-installed, warehoused. Year(s) : 2010 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Version: 200 mm Vintage: 01.06.2005 WaferStorm Wet Processing Platform The WaferStorm platform is the indus Year(s) : 2005 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
0

Film thickness measurement Version: 200 mm Vintage: 01.06.2008 De-installed, warehoused. Can be inspected by Year(s) : 2008 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Version: 200 MM Vintage: 01.05.1996 De-installed, warehoused. Can be inspected by appointment. Year(s) : 1996 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Helium Leak Detector High Vacuum Pump Type Turbomolecular Pump Internal Calibrated Leak Included Built-In Roug Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Vertical Furnace Oxide Process Version: 200 mm Vintage: 01.08.1999 Comments: 1 Process Oxide 2 Wafer Year(s) : 1999 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

2” to 6” (dia.) Wafer Capability Sheet Resistance Range: 1.1 mΩ/sq to 450 KΩ/sq Slice Resistivity Range: 4.19 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Model 340 Atomic Force Microscope Version: 300 MM Year(s) : 2006 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

Atomic Force Microscope (AFM) Version: 200mm Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
1

XeF2 Etching System Version: Inquire Vintage: 01.06.2018 Year(s) : 2018 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  
0

Prober Version: 300 mm Vintage: 01.05.2006 Year(s) : 2006 Location : AMERICA North (USA-Canada-Mexico)

Price : On request

More details  

You can find used Semiconductor Equipment on Wotol

For second hand Semiconductor Equipment we have Wafer Equipment, Wafer Handler & Robots, CVD Equipment, Wet Bench, Lithography & Photoresist, Plasma Etcher / Asher, Dicer & Scriber, Grinding, Lapping & Polishing, Component Counters/Tapers, Dry Pump, Bonder, Metrology and inspection equipment. The main manufacturers for used Semiconductor Equipment are KLA-Tencor, Plasmatherm, AMAT, TEL, Disco, Karl Suss, Canon, Semitool, Hitachi, Nanometrics, Nikon, Applied Materials, Tegal, Varian.

Create an alert